D. A. Allwood, G. Xiong, C. C. Faulkner, D. Atkinson, D. Petit et al., Magnetic domain-wall logic, Science, vol.309, issue.5741, pp.1688-1692, 2005.

M. Altun, M. Riedel, and C. Neuhauser, Nanoscale digital computation through percolation, Design Automation Conference, 2009. DAC '09. 46th ACM/IEEE, pp.615-616, 2009.

J. Appenzeller, J. Knoch, M. Bjork, H. Riel, H. Schmid et al., Toward nanowire electronics. Electron Devices, IEEE Transactions on, vol.55, issue.11, pp.2827-2845, 2008.

C. Atkinson, M. Gutheil, and B. Kennel, A flexible infrastructure for multilevel language engineering, IEEE Trans. Software Eng, vol.35, issue.6, pp.742-755, 2009.

C. Atkinson and T. Kühne, Concepts for comparing modeling tool architectures, Lecture Notes in Computer Science, vol.3713, pp.398-413, 2005.

D. Bacon and W. Van-dam, Recent progress in quantum algorithms, Commun. ACM, vol.53, pp.84-93, 2010.

R. I. Bahar, J. Mundy, and J. Chen, A probabilistic-based design methodology for nanoscale computation, Proceedings of the 2003 IEEE/ACM international conference on Computeraided design, ICCAD '03, p.480, 2003.

B. Bary, Smalltalk as a development environment for integrated manufacturing systems, International Conference on Object-Oriented Manufacturing Systems, 1992.

V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs, 1999.

A. Black, S. Ducasse, O. Nierstrasz, D. Pollet, D. Cassou et al., Pharo by Example. Square Bracket Associates, 2009.
URL : https://hal.archives-ouvertes.fr/hal-01659495

G. Bracha, P. Ahe, V. Bykov, Y. Kashai, and E. Miranda, The newspeak programming platform, 2008.

J. Brant, D. Roberts, and . Smacc, , 2011.

U. Brenner, M. Struzyna, and J. Vygen, Bonnplace: Placement of leading-edge chips by advanced combinatorial algorithms. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.27, issue.9, pp.1607-1620, 2008.

A. D. Brown and M. Zwolinski, Lee router modified for global routing, Comput. Aided Des, vol.22, pp.296-300, 1990.

T. F. Chan, J. Cong, J. R. Shinnerl, K. Sze, and M. Xie, mpl6: enhanced multilevel mixedsize placement, Proceedings of the 2006 international symposium on Physical design, ISPD '06, pp.212-214, 2006.

Y. Chang and S. S. Yi, Controlled formation of individually addressable si nanowire arrays for device integration, One-Dimensional Nanostructures, vol.3, pp.79-96, 2008.

R. Chau, M. Doczy, B. Doyle, S. Datta, G. Dewey et al., Advanced cmos transistors in the nanotechnology era for high-performance, low-power logic applications, Solid-State and Integrated Circuits Technology, vol.1, pp.26-30, 2004.

A. Chen, A. Jacob, C. Sung, K. Wang, A. Khitun et al., Collective-effect state variables for post-cmos logic applications, VLSI Technology, 2009 Symposium on, pp.132-133, 2009.

D. Chen, J. Cong, M. Ercegovac, and Z. Huang, Performance-driven mapping for cpld architectures. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.22, issue.10, pp.1424-1431, 2003.

D. Chen, J. Cong, and P. Pan, Fpga design automation: A survey, Found. Trends Electron. Des. Autom, vol.1, pp.139-169, 2006.

X. Chen, M. Hirtz, H. Fuchs, and L. Chi, Fabrication of gradient mesostructures by Langmuir-Blodgett rotating transfer, Langmuir : the ACS journal of surfaces and colloids, vol.23, issue.5, pp.2280-2283, 2007.

C. P. Collier, E. W. Wong, M. Belohradsk, F. M. Raymo, J. F. Stoddart et al., Electronically configurable molecular-based logic gates, Science, vol.285, issue.5426, pp.391-394, 1999.

J. Cong and Y. Ding, Flowmap: an optimal technology mapping algorithm for delay optimization in lookup-table based fpga designs. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.13, issue.1, pp.1-12, 1994.

J. Cong and S. K. Lim, Performance driven multiway partitioning, Proceedings of the 2000 Asia and South Pacific Design Automation Conference, ASP-DAC '00, pp.441-446, 2000.

L. Cordella, P. Foggia, C. Sansone, and M. Vento, A (sub)graph isomorphism algorithm for matching large graphs. Pattern Analysis and Machine Intelligence, IEEE Transactions on, vol.26, issue.10, pp.1367-1372, 2004.

L. W. Cotten, Maximum-rate pipeline systems, AFIPS '69 (Spring): Proceedings of the May 14-16, pp.581-586, 1969.

S. Cranefield and M. Purvis, UML as an Ontology Modelling Language, Proceedings of the Workshop on Intelligent Information Integration, 16th International Joint Conference on Artificial Intelligence (IJCAI-99, pp.46-53, 1999.

Y. Cui, X. Duan, J. Hu, and C. M. Lieber, Doping and electrical transport in silicon nanowires, The Journal of Physical Chemistry B, vol.104, issue.22, pp.5213-5216, 2000.

T. Dang, L. Anghel, and R. Leveugle, Cntfet basics and simulation, Design and Test of Integrated Systems in Nanoscale Technology, pp.28-33, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00105481

F. Darema, S. Kirkpatrick, and V. A. Norton, Parallel algorithms for chip placement by simulated annealing, IBM Journal of Research and Development, vol.31, issue.3, pp.391-402, 1987.

A. Dehon, Design of programmable interconnect for sublithographic programmable logic arrays, Proceedings of the 2005 ACM/SIGDA 13th international symposium on Fieldprogrammable gate arrays, FPGA '05, pp.127-137, 2005.

A. Dehon, Design of programmable interconnect for sublithographic programmable logic arrays, Proceedings of the 2005 ACM/SIGDA 13th international symposium on Fieldprogrammable gate arrays, FPGA '05, pp.127-137, 2005.

A. Dehon, P. Lincoln, and J. Savage, Stochastic assembly of sublithographic nanoscale interfaces, IEEE Transactions on, vol.2, issue.3, pp.165-174, 2003.

A. Dehon and H. Naeimi, Seven strategies for tolerating highly defective fabrication. Design and Test of Computers, IEEE, vol.22, issue.4, pp.306-315, 2005.

A. Dehon and M. J. Wilson, Nanowire-based Sublithographic Programmable Logic Arrays, FPGA '04: Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, pp.123-132, 2004.

L. N. Denis-teixeira, F. , and J. Naviner, Yield and reliability issues in nanoelectronics technologies, Annals of Telecommunications, vol.61, pp.1247-1282, 2006.

D. Densmore, R. Passerone, and A. Sangiovanni-vincentelli, A platform-based taxonomy for esl design, IEEE Des. Test, vol.23, pp.359-374, 2006.

R. Devadoss, K. Paul, and M. Balakrishnan, A tiled programmable fabric using qca, Field-Programmable Technology (FPT), 2010 International Conference on, pp.9-16, 2010.

C. Dezan, L. Lagadec, and B. Pottier, Object oriented approach for modeling digital circuits, Microelectronic Systems Education, 1999. MSE '99. IEEE International Conference on, pp.51-52, 1999.
URL : https://hal.archives-ouvertes.fr/hal-01862761

C. Dezan, C. Teodorov, L. Lagadec, M. Leuchtenburg, T. Wang et al., Towards a framework for designing applications onto hybrid nano/cmos fabrics, Microelectron. J, vol.40, issue.4-5, pp.656-664, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00379170

S. Ducasse and T. Gîrba, Using Smalltalk as a reflective executable meta-language, International Conference on Model Driven Engineering Languages and Systems (Models/UML, vol.4199, pp.604-618, 2006.

S. Ducasse, T. Girba, A. Kuhn, and L. Renggli, Meta-environment and executable metalanguage using smalltalk: an experience report. Software and Systems Modeling, vol.8, pp.5-19, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00746255

S. Ducasse, O. Nierstrasz, N. Schärli, R. Wuyts, and A. P. Black, Traits: A mechanism for fine-grained reuse, ACM Transactions on Programming Languages and Systems (TOPLAS), vol.28, issue.2, pp.331-388, 2006.

R. Dutton and A. Strojwas, Perspectives on technology and technology-driven cad. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.19, issue.12, pp.1544-1560, 2000.

C. Ebeling, D. C. Cronquist, and P. Franklin, Rapid -reconfigurable pipelined datapath, Proceedings of the 6th International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers, pp.126-135, 1996.

K. Eguro and S. Hauck, Armada: timing-driven pipeline-aware routing for fpgas, Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays, FPGA '06, pp.169-178, 2006.

W. C. Elmore, The transient response of damped linear networks with particular regard to wideband amplifiers, Journal of Applied Physics, vol.19, issue.1, pp.55-63, 1948.

O. Englander, D. Christensen, J. Kim, L. Lin, and S. J. Morris, Electric-field assisted growth and self-assembly of intrinsic silicon nanowires, Nano Letters, vol.5, issue.4, pp.705-708, 2005.

C. M. Fiduccia and R. M. Mattheyses, A linear-time heuristic for improving network partitions, Proceedings of the 19th Design Automation Conference, DAC '82, pp.175-181, 1982.

A. Gamatié, É. Rutten, H. Yu, P. Boulet, and J. Dekeyser, Model-Driven Engineering and Formal Validation of High-Performance Embedded Systems, Scalable Computing: Practice and Experience, p.10, 2009.

E. Gamma, R. Helm, R. Johnson, and J. Vlissides, Design patterns: elements of reusable object-oriented software, 1995.

E. Gautrin and L. Perraudeau, Madmacs: an environment for the layout of regular arrays, Proceedings of the IFIP WG10.2/WG10.5 Workshops on Synthesis for Control Dominated Circuits, pp.345-358, 1993.
URL : https://hal.archives-ouvertes.fr/inria-00074693

S. H. Gerez, Algorithms for VLSI Design Automation, 1999.

G. Gielen and R. Rutenbar, Computer-aided design of analog and mixed-signal integrated circuits, Proceedings of the IEEE, vol.88, issue.12, pp.1825-1854, 2000.

P. Graham and M. Gokhale, Nanocomputing in the presence of defects and faults: A survey, Nano, Quantum and Molecular Computing, pp.39-72, 2004.

R. Grassi, A. Gnudi, E. Gnani, S. Reggiani, and G. Baccarani, Graphene nanoribbons fets for high-performance logic applications: Perspectives and challenges, Solid-State and Integrated-Circuit Technology, pp.365-368, 2008.

A. B. Greytak, L. J. Lauhon, M. S. Gudiksen, and C. M. Lieber, Growth and transport properties of complementary germanium nanowire field-effect transistors, Applied Physics Letters, vol.84, issue.21, pp.4176-4178, 2004.

I. A. Grout, Integrated Circuit Test Engineering: Modern Techniques, 2006.

I. A. Grout, Test economics, Integrated Circuit Test Engineering, pp.267-274, 2006.

N. Haron and S. Hamdioui, Emerging crossbar-based hybrid nanoarchitectures for future computing systems, SCS 2008. 2nd International Conference on, pp.1-6, 2008.

N. Haron and S. Hamdioui, Why is cmos scaling coming to an end?, Design and Test Workshop, pp.98-103, 2008.

R. He, D. Gao, R. Fan, A. Hochbaum, C. Carraro et al., Si nanowire bridges in microtrenches: Integration of growth into device fabrication, Advanced Materials, vol.17, issue.17, pp.2098-2102, 2005.

M. Healy, M. Vittes, M. Ekpanyapong, C. S. Ballapuram, S. K. Lim et al., Multiobjective microarchitectural floorplanning for 2-d and 3-d ics. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.26, issue.1, pp.38-52, 2007.

J. R. Heath and M. A. Ratner, Molecular electronics, Physics Today, vol.56, issue.5, pp.43-49, 2003.

T. Hogg and G. Snider, Defect-tolerant logic with nanoscale crossbar circuits, J. Electron. Test, vol.23, pp.117-129, 2007.

M. Holland and S. Hauck, Automatic creation of domain-specific reconfigurable cplds for soc, Field-Programmable Custom Computing Machines, Annual IEEE Symposium, pp.289-290, 2005.

X. Hu, M. Crocker, M. Niemier, M. Yan, and G. Bernstein, Plas in quantum-dot cellular automata, proceedings of the IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2006.

C. Husband, S. Husband, J. Daniels, and J. M. Tour, Logic and memory with nanocell circuits, IEEE Transactions on Electron Devices, vol.50, pp.1865-1975, 2003.

B. Hutchings, P. Bellows, J. Hawkins, S. Hemmert, B. Nelson et al., A cad suite for high-performance fpga design, Proceedings of the Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM '99, p.12, 1999.

F. K. Hwang, D. S. Richards, and P. Winter, The Steiner Tree Problem, Annals of Discrete Mathematics. North-Holland, vol.53, 1992.

S. Inaba, K. Okano, T. Izumida, A. Kaneko, H. Kawasaki et al., Finfet: the prospective multi-gate device for future soc applications, Solid-State Device Research Conference, 2006. ESSDERC 2006. Proceeding of the 36th European, pp.49-52, 2006.

, International Organization for Standardization. Industrial automation systems and integration-product data representation-and exchange-part 1: Overview and fundamental principles, 1994.

, International Technology Roadmap for Semiconductors, 2009.

, International Technology Roadmap for Semiconductors, 2010.

H. Iwai, Roadmap for 22nm and beyond, vol.86, pp.1520-1528, 2009.

A. Javey, R. S. Nam, H. Friedman, C. M. Yan, and . Lieber, Layer-by-layer assembly of nanowires for three-dimensional, multifunctional electronics, Nano Letters, vol.7, issue.3, pp.773-777, 2007.

X. Ji-guang and T. Kozawa, An algorithm for searching shortest path by propagating wave fronts in four quadrants, Proceedings of the 18th Design Automation Conference, DAC '81, pp.29-36, 1981.

B. J. Jordan, Y. Ofir, D. Patra, S. T. Caldwell, A. Kennedy et al., Controlled self-assembly of organic nanowires and platelets using dipolar and hydrogen-bonding interactions, Small, vol.4, issue.11, pp.2074-2078, 2008.

A. Kahng, J. Lienig, I. Markov, and J. Hu, VLSI Physical Design: From Graph Partitioning to Timing Closure, 2011.

G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar, Multilevel hypergraph partitioning: application in vlsi domain, Proceedings of the 34th annual Design Automation Conference, DAC '97, pp.526-529, 1997.

T. Kempf, G. Ascheid, and R. Leupers, Principles of design space exploration, Multiprocessor Systems on Chip, pp.23-47, 2011.

A. Kennings and K. Vorwerk, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.25, issue.10, pp.2076-2087, 2006.

J. S. Kilby, Miniaturized electronic circuits (reprint of u. s. patent no. 3,138, 743), IEEE, vol.12, issue.2, pp.44-54, 2007.

R. Kling, Optimization by Simulated Evolution and its Application to cell placement, 1990.

A. Kuhn and T. Verwaest, FAME, a polyglot library for metamodeling at runtime, Workshop on Models at Runtime, pp.57-66, 2008.

T. Kühne, Contrasting classification with generalisation, Sixth Asia-Pacific Conference on Conceptual Modelling (APCCM 2009), 2009.

I. Kuon and J. Rose, Area and delay trade-offs in the circuit and architecture design of fpgas, Proceedings of the 16th international ACM/SIGDA symposium on Field programmable gate arrays, FPGA '08, pp.149-158, 2008.

I. Kuon and J. Rose, Automated transistor sizing for fpga architecture exploration, Proceedings of the 45th annual Design Automation Conference, DAC '08, pp.792-795, 2008.

I. Kuon, R. Tessier, and J. Rose, Fpga architecture: Survey and challenges, Found. Trends Electron. Des. Autom, vol.2, pp.135-253, 2008.

L. Lagadec, Abstraction and modélisation et outils de cao pour les architectures reconfigurables, 2000.

L. Lagadec, D. Lavenier, E. Fabiani, and B. Pottier, Placing, routing, and editing virtual fpgas, Field-Programmable Logic and Applications, vol.2147, pp.357-366, 2001.
URL : https://hal.archives-ouvertes.fr/hal-02013412

L. Lagadec and D. Picard, Software-like debugging methodology for reconfigurable platforms, IPDPS 2009. IEEE International Symposium on, pp.1-4, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00487893

L. Lagadec and D. Picard, Smalltalk debug lives in the matrix, International Workshop on Smalltalk Technologies, IWST '10, pp.11-16, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00508413

L. Lagadec, D. Picard, and B. Pottier, Dynamic System Reconfiguration in Heterogeneous Platforms, chapter 13, Spatial Design : High Level Synthesis, 2009.

L. Lagadec and B. Pottier, Object-oriented meta tools for reconfigurable architectures, Reconfigurable Technology: FPGAs for Computing and Applications II, vol.4212, 2000.
URL : https://hal.archives-ouvertes.fr/hal-02133775

L. Lagadec, B. Pottier, and D. Picard, Toolset for nano-reconfigurable computing, International Conference on Superlattices, Nanostructures and Nanodevices, vol.40, pp.665-672, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00487887

M. Lai and D. Wong, Slicing tree is a complete floorplan representation, Design, Automation and Test in Europe, pp.228-232, 2001.

L. Lavagno, G. Martin, and L. Scheffer, Electronic Design Automation for Integrated Circuits Handbook -2 Volume Set, 2006.

S. Lee and D. F. Wong, Timing-driven routing for fpgas based on lagrangian relaxation, Proceedings of the 2002 international symposium on Physical design, ISPD '02, pp.176-181, 2002.

C. S. Lent, P. D. Tougaw, W. Porod, and G. H. Bernstein, Quantum cellular automata, Nanotechnology, vol.4, issue.1, p.49, 1993.

S. Li and C. Ebeling, Quickroute: a fast routing algorithm for pipelined architectures, Proceedings. 2004 IEEE International Conference on, pp.73-80, 2004.

J. Lin and Y. Chang, TCG-S: Orthogonal Coupling of P*-Admissible Representations for General Floorplans, DAC '02: Proceedings of the 39th conference on Design automation, pp.842-847, 2002.

J. Lin and Y. Chang, Tcg: A transitive closure graph-based representation for general floorplans. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.13, issue.2, pp.288-292, 2005.

Y. Liu, J. Chung, W. K. Liu, and R. S. Ruoff, Dielectrophoretic assembly of nanowires, The Journal of Physical Chemistry B, vol.110, issue.29, pp.14098-14106, 2006.

Y. Liu, X. Xie, Y. Gao, Q. Feng, L. Guo et al., Gas flow directed assembly of carbon nanotubes into horizontal arrays, Materials Letters, vol.61, issue.2, pp.334-338, 2007.

J. Luu, J. H. Anderson, and J. S. Rose, Architecture description and packing for logic blocks with hierarchy, modes and complex interconnect, Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '11, pp.227-236, 2011.

J. Mar, The application of tcad in industry, Simulation of Semiconductor Processes and Devices, 1996. SISPAD 96. 1996 International Conference on, pp.139-145, 1996.

G. Martin, B. Bailey, and A. Piziali, ESL Design and Verification: A Prescription for Electronic System Level Methodology, p.488, 2007.

G. Martin, L. Lavagno, and J. Louis-guerin, Embedded uml: a merger of real-time uml and co-design, Proceedings of the ninth international symposium on Hardware/software codesign, CODES '01, pp.23-28, 2001.

L. Mcmurchie and C. Ebeling, Pathfinder: A negotiation-based performance-driven router for fpgas, Field-Programmable Gate Arrays, 1995. FPGA '95. Proceedings of the Third International ACM Symposium on, pp.111-117, 1995.

T. Mens and P. V. Gorp, A taxonomy of model transformation, Proceedings of the International Workshop on Graph and Model Transformation, vol.152, pp.125-142, 2005.

K. Mikami and K. Tabuchi, A computer program for optimal routing of printed circuit connectors, IFIPS Proceedings, vol.47, pp.1475-1478, 1968.

G. Moore, No exponential is forever: but "forever" can be delayed! [semiconductor industry

, Digest of Technical Papers. ISSCC, Solid-State Circuits Conference, vol.1, pp.20-23, 2003.

C. A. Moritz and T. Wang, Latching on the Wire and Pipelining in Nanoscale Designs. 3rd Workshop on Non-Silicon Computation (NSC-3), ISCA'04, 2004.

C. A. Moritz, T. Wang, P. Narayanan, M. Leuchtenburg, Y. Guo et al., Fault-Tolerant Nanoscale Processors on Semiconductor Nanowire Grids. IEEE Transactions on Circuits and Systems I, special issue on Nanoelectronic Circuits and Nanoarchitectures, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00169889

P. A. Muller, F. Fleurey, and J. M. , Weaving Executability into Object-Oriented Meta-Languages, MODELS/UML'2005, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00795095

H. Naeimi and A. Dehon, Fault-tolerant sub-lithographic design with rollback recovery, Nanotechnology, vol.19, issue.11, pp.115708-115725, 2008.

P. Narayanan, J. Kina, P. Panchapakeshan, C. O. Chui, and C. A. Moritz, Integrated devicefabric explorations and noise impact and mitigation in nanoscale fabrics. to be submitted to, ACM Journal on Emerging Technologies in Computing Systems

P. Narayanan, M. Leuchtenburg, J. Kina, P. Joshi, P. Panchapakeshan et al., Parameter variability in nanoscale fabrics: Bottom-up integrated exploration. Defect and Fault-Tolerance in VLSI Systems, IEEE International Symposium on, vol.0, pp.24-31, 2010.

P. Narayanan, M. Leuchtenburg, T. Wang, and C. Moritz, Cmos control enabled single-type fet nasic, Symposium on VLSI, 2008. ISVLSI '08, pp.191-196, 2008.

P. Narayanan, C. A. Moritz, K. W. Park, and C. O. Chui, Validating cascading of crossbar circuits with an integrated device-circuit exploration, IEEE International Symposium on, vol.0, pp.37-42, 2009.

P. Narayanan, K. Park, C. Chui, and C. Moritz, Manufacturing patway and associated challenges for nanoscale computational systems, 9th IEEE Nanotechnology conference, 2009.

P. Narayanan, T. Wang, and C. A. Moritz, Programmable cellular architectures at the nanoscale, Nano Communication Networks, vol.1, issue.2, pp.77-85, 2010.

K. Nepal, R. Bahar, J. Mundy, W. Patterson, and A. Zaslavsky, Designing nanoscale logic circuits based on markov random fields, Journal of Electronic Testing, vol.23, pp.255-266, 2007.

A. N. Ng, I. L. Markov, R. Aggarwal, and V. Ramachandran, Solving hard instances of floorplacement, Proceedings of the 2006 international symposium on Physical design, ISPD '06, pp.170-177, 2006.

H. T. Ng, J. Han, T. Yamada, P. Nguyen, Y. P. Chen et al., Single crystal nanowire vertical surround-gate field-effect transistor, Nano Letters, vol.4, issue.7, pp.1247-1252, 2004.

M. Niemier and P. Kogge, The "4-diamond circuit"-a minimally complex nano-scale computational building block in qca, IEEE Computer Society Annual Symposium on VLSI, pp.3-10, 2004.

M. Niemier, A. Rodrigues, and P. Kogge, A potentially implementable fpga for quantum dot cellular automata. 1st Workshop on Non-silicon Computation, 2002.

R. N. Noyce, Semiconductor device-and-lead structure, IEEE, vol.2, issue.2, pp.34-40, 1959.

, Object Management Group. Meta Object Facility (MOF) Core Specification Version 2, 2006.

I. Oconnor, J. Liu, D. Navarro, R. Daviot, N. Abouchi et al., Molecular electronics and reconfigurable logic, International Journal of Nanotechnology, vol.7, issue.4-8, pp.367-382, 2010.

P. O'connor, Future trends in microelectronics -impact on detector readout, SNIC Symposium, pp.1-6, 2006.

A. Pal, A. Sachid, H. Gossner, and V. Rao, Insights into the design and optimization of tunnel-fet devices and circuits. Electron Devices, IEEE Transactions on, vol.58, issue.4, pp.1045-1053, 2011.

P. R. Panda, Systemc: a modeling platform supporting multiple design abstractions, Proceedings of the 14th international symposium on Systems synthesis, ISSS '01, pp.75-80, 2001.

J. Park, A. N. Pasupathy, J. I. Goldsmith, C. Chang, Y. Yaish et al., Coulomb blockade and the kondo effect in single-atom transistors, Nature, vol.417, issue.6890, pp.722-725, 2002.

T. Perry, For the record: Kilby and the ic, Spectrum, vol.25, issue.13, pp.40-41, 1988.

D. Picard, Méthodes et outils logiciels pour l'exploration architecturale d'unité reconfigurable embarqueés, 2010.

A. Plantec and V. Ribaud, PLATYPUS : A STEP-based Integration Framework, 14th Interdisciplinary Information Management Talks (IDIMT-2006), pp.261-274, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00504325

B. Pottier and J. Llopis, Revisiting smalltalk-80 blocks: a logic generator for fpgas, FPGAs for Custom Computing Machines, pp.48-57, 1996.

W. Qian, J. Backes, and M. D. Riedel, The synthesis of stochastic circuits for nanoscale computation. Theoretical and Technological Advancements in Nanotechnology and Molecular Computation: Interdisciplinary Gains, 2011.

I. R. Quadri, H. Yu, A. Gamatie, E. Rutten, S. Meftali et al., Targeting reconfigurable fpga based socs using the uml marte profile: from high abstraction levels to code generation, International Journal of Embedded Systems, vol.4, issue.3/4, pp.204-224, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00525015

Y. C. and R. E. Bryant, Verification of arithmetic circuits with binary moment diagrams, Design Automation, 1995. DAC '95. 32nd Conference on, pp.535-541, 1995.

S. Rao, P. Sadayappan, F. Hwang, and P. Shor, The rectilinear steiner arborescence problem, Algorithmica, vol.7, pp.277-288

W. Rao, A. Orailoq, and R. Karri, Topology aware mapping of logic functions onto nanowirebased crossbar architectures, Design Automation Conference, pp.0-0, 2006.

L. Renggli, Dynamic Language Embedding With Homogeneous Tool Support, 2010.

C. Rousseau, Y. Saint-aubin, C. Rousseau, and Y. Saint-aubin, Springer Undergraduate Texts in Mathematics and Technology, pp.1-43, 2008.

R. L. , Multiple-valued logic minimization for pla synthesis, 1986.

H. Schift and A. Kristensen, Nanoimprint lithography, Springer Handbook of Nanotechnology, pp.239-278, 2007.

C. Sechen and A. Sangiovanni-vincentelli, Timberwolf3.2: a new standard cell placement and global routing package, Proceedings of the 23rd ACM/IEEE Design Automation Conference, DAC '86, pp.432-439, 1986.

E. Sentovich, K. Singh, L. Lavagno, C. Moon, R. Murgai et al., SIS: A System for Sequential Circuit Synthesis, 1992.

P. Shabadi, A. Khitun, P. Narayanan, M. Bao, I. Koren et al., Towards logic functions as the device, Nanoscale Architectures (NANOARCH), pp.11-16, 2010.

P. Shabadi, A. Khitun, P. Narayanan, M. Bao, I. Koren et al., Towards logic functions as the device, Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, Nanoarch '10, pp.11-16, 2010.

Y. Shan and S. J. Fonash, Self-assembling silicon nanowires for device applications using the nanochannel-guided "grow-in-place" approach, ACS Nano, vol.2, issue.3, pp.429-434, 2008.

A. Sharma, C. Ebeling, and S. Hauck, Piperoute: a pipelining-aware router for fpgas, Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays, FPGA '03, pp.68-77, 2003.

V. V. Shende, S. S. Bullock, and I. L. Markov, Synthesis of quantum logic circuits, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC '05, pp.272-275, 2005.

N. A. Sherwani, Algorithms for VLSI Physcial Design Automation, 1998.

A. Shrestha, S. Tayu, and S. Ueno, Orthogonal ray graphs and nano-pla design, IEEE International Symposium on, pp.2930-2933, 2009.

S. K. Shukla and R. I. Bahar, Nano, quantum and molecular computing: implications to high level design and validation, 2004.

A. Singh and M. Marek-sadowska, Efficient circuit clustering for area and power reduction in fpgas, Proceedings of the 2002 ACM/SIGDA tenth international symposium on Fieldprogrammable gate arrays, FPGA '02, pp.59-66, 2002.

G. S. Snider and R. S. Williams, Nano/CMOS Architectures Using a Field-Programmable Nanowire Interconnect, vol.18, p.35204, 2007.

M. Stan, P. Franzon, S. Goldstein, J. Lach, and M. Ziegler, Molecular electronics: from devices and interconnect to circuits and architecture, Proceedings of the IEEE, vol.91, issue.11, pp.1940-1957, 2003.

D. Steinberg, F. Budinsky, M. Paternostro, and E. Merks, EMF: Eclipse Modeling Framework, 2008.

D. W. Steuerman, H. Tseng, A. J. Peters, A. H. Flood, J. O. Jeppesen et al., Molecular-mechanical switch-based solid-state electrochromic devices, Angewandte Chemie, vol.116, issue.47, pp.6648-6653, 2004.

J. E. Stine, I. Castellanos, M. Wood, J. Henson, F. Love et al., Freepdk: An open-source variationaware design kit. Microelectronics Systems Education, IEEE International Conference on/-Multimedia Software Engineering, International Symposium on, vol.0, pp.173-174, 2007.

D. B. Strukov and K. K. Likharev, CMOL FPGA: A reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices, Nanotechnology, vol.16, pp.888-900, 2005.

D. B. Strukov and K. K. Likharev, Cmol fpga circuits, Proc. of Int. Conf. on Computer Design, CDES'2006, pp.213-219, 2006.

D. B. Strukov, G. S. Snider, D. R. Stewart, and R. S. Williams, The missing memristor found, Nature, vol.453, issue.7191, pp.80-83, 2008.

S. Sugahara and J. Nitta, Spin-transistor electronics: An overview and outlook, Proceedings of the IEEE, vol.98, pp.2124-2154, 2010.

Y. Sun, N. Rusli, and . Singh, Room-temperature operation of silicon single-electron transistor fabricated using optical lithography, IEEE Transactions on, vol.10, issue.1, pp.96-98, 2011.

M. Tahoori, A mapping algorithm for defect-tolerance of reconfigurable nano-architectures, Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on, pp.668-672, 2005.

C. Teodorov and L. Lagadec, Fpga sdk for nanoscale architectures, 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC'11), 2011.
URL : https://hal.archives-ouvertes.fr/hal-00598837

C. Teodorov, P. Narayanan, L. Lagadec, and C. Dezan, Regular 2d nasic architecture and design space exploration, Nanoscale Architectures, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00598850

C. Teodorov, P. Narayanan, L. Lagadec, C. Dezan, and C. A. Moritz, Regular 2d nasic architecture and design space exploration, to be submited at Nanoarch '11 -7th IEEE/ACM International Symposium on Nanoscale Architectures, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00598850

C. Teodorov, D. Picard, and L. Lagadec, Fpga physical-design automation using model-driven engineering, 6th International Workshop on Reconfigurable Communicationcentric Systems-on-Chip (ReCoSoC'11) 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC'11), 2011.
URL : https://hal.archives-ouvertes.fr/hal-00598838

M. Tommiska and J. Skyttä, Dijkstra's shortest path routing algorithm in reconfigurable hardware, Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, FPL '01, pp.653-657, 2001.

P. D. Tougaw, C. S. Lent, and W. Porod, Bistable saturation in coupled quantum dot cells, Journal of Applied Physics, vol.74, issue.5, pp.3558-3566, 1993.

J. Tour, W. Van-zandt, C. Husband, S. Husband, L. Wilson et al., Nanocell logic gates for molecular computing, IEEE Transactions on Nanotechnology, vol.1, pp.100-109, 2002.

W. Tsu, K. Macy, A. Joshi, R. Huang, N. Walker et al., Hsra: high-speed, hierarchical synchronous reconfigurable array, Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays, FPGA '99, pp.125-134, 1999.

Y. Vanderperren and W. Dehaene, UML 2 and SysML: An Approach to Deal with Complexity in SoC/NoC Design, E. European design and Automation Association, vol.2, pp.716-717, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00181194

J. Vidal, F. De-lamotte, G. Gogniat, P. Soulard, and J. Diguet, A co-design approach for embedded system modeling and code generation with uml and marte, Proceedings of the Conference on Design, Automation and Test in Europe, DATE '09, vol.3001, pp.226-231
URL : https://hal.archives-ouvertes.fr/hal-00369036

. Leuven, European Design and Automation Association, 2009.

J. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati et al., Programmable active memories: reconfigurable systems come of age. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.4, issue.1, pp.56-69, 1996.

D. Wang, B. Sheriff, M. Mcalpine, and J. Heath, Development of ultra-high density silicon nanowire arrays for electronics applications, Nano Research, vol.1, pp.9-21, 2008.

T. Wang, M. Ben-naser, Y. Guo, and C. A. Moritz, Self-healing wire-streaming processors on 2-d semiconductor nanowire fabrics. NSTI (Nano Science and Technology Institute) Nanotech'06, 2006.

T. Wang, P. Narayanan, and C. Moritz, Heterogeneous two-level logic and its density and fault tolerance implications in nanoscale fabrics, IEEE Transactions on Nanotechnology, vol.8, pp.22-30, 2009.

T. Wang, Z. Qi, and C. A. Moritz, Opportunities and challenges in application-tuned circuits and architectures based on nanodevices, Proceedings of the 1st conference on Computing frontiers, CF '04, pp.503-511, 2004.

N. Weaver, J. Hauser, and J. Wawrzynek, The sfra: a corner-turn fpga architecture, Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, FPGA '04, pp.3-12, 2004.

N. Weaver, Y. Markovskiy, Y. Patel, and J. Wawrzynek, Post-placement c-slow retiming for the xilinx virtex fpga, Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays, FPGA '03, pp.185-194, 2003.

D. Whang, S. Jin, and C. M. Lieber, Nanolithography using hierarchically assembled nanowire masks, Nano Letters, vol.3, issue.7, pp.951-954, 2003.

Q. Xia, W. Robinett, M. W. Cumbie, N. Banerjee, T. J. Cardinali et al., Memristor-cmos hybrid integrated circuits for reconfigurable logic, Nano Letters, vol.9, issue.10, pp.3640-3645, 2009.

X. Xiong, L. Jaberansari, M. G. Hahm, A. Busnaina, and Y. J. Jung, Building highly organized single-walled-carbon-nanotube networks using template-guided fluidic assembly, Small, vol.3, issue.12, 2006.

J. Xu, X. Hong, T. Jing, Y. Cai, and J. Gu, An efficient hierarchical timing-driven steiner tree algorithm for global routing, Integr. VLSI J, vol.35, pp.69-84, 2003.

H. Yan, H. S. Choe, S. Nam, Y. Hu, S. Das et al., Programmable nanowire circuits for nanoprocessors, Nature, vol.470, issue.7333, pp.240-244, 2011.

S. Yang, Logic Synthesis and Optimization Benchmarks User Guide, MCNC Technical Report, 1991.

J. W. Yoder and R. E. Johnson, The adaptive object-model architectural style, WICSA 3: Proceedings of the IFIP 17th World Computer Congress -TC2 Stream / 3rd IEEE/IFIP Conference on Software Architecture, pp.3-27, 2002.

M. Zamani and M. Tahoori, Self-timed nano-pla, Nanoscale Architectures (NANOARCH), pp.78-85, 2011.

M. Zaveri and D. Hammerstrom, Cmol/cmos implementations of bayesian polytree inference: Digital and mixed-signal architectures and performance/price, IEEE Transactions on, vol.9, issue.2, pp.194-211, 2010.

W. Zhao and Y. Cao, New generation of predictive technology model for sub-45nm design exploration, Proceedings of the 7th International Symposium on Quality Electronic Design, ISQED '06, pp.585-590, 2006.

M. O-d-e-l--d-r-i-v-e-n-p-h-y-s-i-c-a-l--d-e-s-i-g-n-f-o-r-f-u-t-u-r-e-n-a-n-o-s-c-a-l-e-a-r-c-h-i--t-e-c-t-u-r-e-s,