Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud - ENSTA Bretagne - École nationale supérieure de techniques avancées Bretagne Accéder directement au contenu
Chapitre D'ouvrage Année : 2022

Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud

Résumé

Globalization of the IC supply chain and the ever more distributed character of hardware design flows have lead to a surge in security threats. While the focus has long been on IP theft and counterfeiting issues during manufacturing, concern about vulnerabilities at design time has been growing more prevalent in recent years. With the advent of new cloud-based business-to-business solutions, cloud-based EDA services are likely to gain widespread adoption in the future. However, such services can increase the risks of theft. In this chapter, we focus on the scenario of a cloud-based HLS service and on how to protect Behavioral Intellectual Property (BIP) against theft at design time. We show how software obfuscation techniques can be adapted to this hardware context. By adding a de-obfuscation step after HLS, and reusing hardware logic locking principles, we propose a transient, key-based obfuscation scheme to protect BIPs during HLS with low design overhead. We illustrate this scheme with a presentation and study of several practical obfuscation techniques.
Fichier non déposé

Dates et versions

hal-04115023 , version 1 (02-06-2023)

Identifiants

Citer

Hannah Badier, Jean-Christophe Le Lann, Philippe Coussy, Gogniat Guy. Protecting Behavioral IPs During Design Time: Key-Based Obfuscation Techniques for HLS in the Cloud. Behavioral Synthesis for Hardware Security, Springer International Publishing; Springer International Publishing, pp.71-93, 2022, ⟨10.1007/978-3-030-78841-4_5⟩. ⟨hal-04115023⟩
22 Consultations
0 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More