M. Leeser, S. Miller, and H. Yu, Smart camera based on reconfigurable hardware enables diverse real-time applications, Field-Programmable Custom Computing Machines, 2004. FCCM 2004. 12th Annual IEEE Symposium on, pp.147-155, 2004.

U. Alqasemi, H. Li, A. Aguirre, and Q. Zhu, Fpga-based reconfigurable processor for ultrafast interlaced ultrasound and photoacoustic imaging. Ultrasonics, Ferroelectrics, and Frequency Control, IEEE Transactions on, vol.59, issue.7, pp.1344-1353, 2012.

P. Pawelczak, K. Nolan, L. Doyle, D. Ser-wah-oh, and . Cabric, Cognitive radio: Ten years of experimentation and development, Communications Magazine, vol.49, issue.3, pp.90-100, 2011.

D. Suzuki, . Natsui, . Mochizuki, H. Miura, K. Honjo et al., Fabrication of a magnetic tunnel junction-based 240-tile nonvolatile field-programmable gate array chip skipping wasted write operations for greedy power-reduced logic applications, vol.10, 2013.

M. Sadri, C. Weis, N. Wehn, and L. Benini, Energy and performance exploration of accelerator coherency port using xilinx zynq, Proceedings of the 10th FPGAworld Conference, 2013.

A. , Altera and IBM Unveil FPGA-accelerated POWER Systems with Coherent Shared Memory, 2015.

K. Benkrid, D. Crookes, and A. Benkrid, Towards a general framework for FPGA based image processing using hardware skeletons, Parallel Computing, pp.28-35, 2002.

D. Mark, . Hill, and . Michael-r-marty, Amdahl's law in the multicore era, Computer, issue.7, pp.33-38, 2008.

M. Thompson, H. Nikolov, T. Stefanov, A. D. Pimentel, C. Erbas et al., A framework for rapid system-level exploration, synthesis, and programming of multimedia mp-socs, Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, pp.9-14, 2007.

J. Keinert, T. Schlichter, J. Falk, J. Gladigau, C. Haubelt et al., SystemCoDesigneran automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications, ACM Trans. on Design Automation of Electronic Systems (TODAES), vol.14, issue.1, pp.1-23, 2009.

S. Shibata, S. Honda, H. Tomiyama, and H. Takada, Advanced SystemBuilder: A tool set for multiprocessor design space exploration, SoC Design Conference (ISOCC), 2010.

M. Rashid, F. Ferrandi, and K. Bertels, hArtes design flow for heterogeneous platforms, Quality of Electronic Design, pp.330-338, 2009.

Y. Yankova, G. Kuzmanov, K. Bertels, G. Gaydadjiev, Y. Lu et al., DWARV: Delftworkbench Automated Reconfigurable VHDL Generator, Field Programmable Logic and Applications, 2007. FPL 2007. Int. Conf. on, pp.697-701, 2007.

S. Ha, S. Kim, C. Lee, Y. Yi, S. Kwon et al., Peace: A hardware-software codesign environment for multimedia embedded systems, ACM Trans. on Design Automation of Elec. Sys, vol.12, issue.3, 2007.

L. Moss, H. Guérard, G. Dare, and G. Bois, Rapid Design Exploration on an ESL Framework featuring Hardware-Software Codesign for ARM Processor-based FPGA's. Space, 1, 2012.

S. Li, N. Farahini, A. Hemani, K. Rosvall, and I. Sander, System level synthesis of hardware for dsp applications using pre-characterized function implementations, ACM/IEEE Int. Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2013.

M. A. Kinsy and S. Devadas, Heracles 2.0: A tool for design space exploration of multi/many-core processors, Workshop on the Intersections of Computer Architecture and Reconfigurable Logic (CARL), 2012.

G. Kahn, The semantics of a simple language for parallel programming. Information processing, vol.74, pp.471-475, 1974.

E. Cartwright, A. Fahkari, S. Ma, C. Smith, M. Huang et al., Automating the design of mlut mpsopc fpgas in the cloud, Field Programmable Logic and Applications (FPL), 2012 22nd International Conference on, pp.231-236, 2012.

B. Fort, A. Canis, J. Choi, N. Calagar, R. Lian et al., Automating the design of processor/accelerator embedded systems with legup high-level synthesis, Embedded and Ubiquitous Computing (EUC), pp.120-129, 2014.

P. Feiler and D. Gluch, Model-Based Engineering with AADL: An Introduction to the SAE Architecture Analysis & Design Language, 2012.

S. Vassiliadis, S. Wong, G. Gaydadjiev, K. Bertels, G. Kuzmanov et al., The MOLEN Polymorphic Processor. Computers, IEEE Transactions on, vol.53, issue.11, pp.1363-1375, 2004.

. Opencores, Online OpenCores library, 2014.

. Xilinx, Platform Format Specification Reference Manual -Xilinx (UG 642, 2011.

S. L. Graham, P. B. Kessler, and M. K. Mckusick, Gprof: A call graph execution profiler, ACM Sigplan Notices, vol.17, issue.6, pp.120-126, 1982.

S. Verdoolaege, H. Nikolov, and T. Stefanov, PN: A tool for improved derivation of process networks, EURASIP Journal on Embedded Systems, issue.1, pp.19-19, 2007.

P. Coussy, C. Chavet, P. Bomel, D. Heller, E. Senn et al., High-Level Synthesis: From Algorithm to Digital Circuit, A High-Level Synthesis Tool for DSP applications, pp.147-169

. Springer, , 2008.

Y. Corre, J. P. Diguet, D. Heller, and L. Lagadec, A framework for high-level synthesis of heterogeneous mp-soc, Proceedings of the great lakes symposium on VLSI, pp.283-286, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00726481

H. Nikolov, T. Stefanov, and E. Deprettere, Multi-processor system design with ESPAM, CODES+ ISSS'06, pp.211-216, 2006.

A. D. Pimentel, C. Erbas, and S. Polstra, A systematic approach to exploring embedded system architectures at multiple abstraction levels. Computers, IEEE Transactions on, vol.55, issue.2, pp.99-112, 2006.

H. W. Kuhn, The hungarian method for the assignment problem, Naval research logistics quarterly, vol.2, pp.83-97, 1955.

. Atl and . The, Atlas Transformation Language (ATL), 2014.

. Xtext and . Xtext-website, , 2015.

I. Augé, F. Pétrot, F. Donnet, and P. Gomez, Platform-based design from parallel C specifications. Computer-Aided Design of Integrated Circuits and Systems, IEEE Trans. on, vol.24, issue.12, 2005.

P. Viola and M. Jones, Rapid object detection using a boosted cascade of simple features, CVPR 2001. Proceedings of the 2001 IEEE Computer Society Conference on, vol.1, p.511, 2001.

, Xilinx. Xilinx XUPV5-LX110T FPGA Board Documentation, 2011.

. Xilinx, Xilinx ML605 FPGA Board Documentation, 2012.

A. , Stratix 10 -overview, 2015.